Intel не планирует выпускать 32 нм четырехъядерники в будущем году

Компания Intel представила технические подробности, касающиеся будущего техпроцесса Intel 4, которой найдёт применение в процессорах Meteor Lake, запланированных на 2023 год. По утверждению компании, новая производственная технология позволит увеличить тактовые частоты более чем на 20 % при сохранении того же энергопотребления, как у чипов, выпущенных по процессу Intel 7.

Intel не планирует выпускать 32 нм четырехъядерники в будущем году

Запуск техпроцесса Intel 4 — ключевой для компании этап в пятилетнем плане по возвращению технологического лидерства.

Поэтому при разработке очередного техпроцесса Intel не ставила перед собой таких агрессивных целей, как при освоении 10-нм технологии, с которой в своё время возникли существенные проблемы.

С вводом в строй Intel 4 компания рассчитывает увеличить плотность размещения транзисторов на кристалле вдвое (по сравнению с Intel 7), тогда как для технологии 10 нм целевым коэффициентом масштабирования было 2,7x.

Intel не планирует выпускать 32 нм четырехъядерники в будущем году

До переименования техпроцесс Intel 4 ассоциировался с производственными нормами 7 нм, однако важный шаг вперёд в этом техпроцессе кроется не столько в уменьшении размеров транзисторов, сколько в использовании EUV-литографии — впервые для Intel.

Внедрение литографии в глубоком ультрафиолете позволяет сократить число производственных шагов — там, где для экспонирования требовалось несколько этапов иммерсионной фотолитографии, теперь можно будет обходиться лишь одним.

Это не только ускорит производственный цикл, но и снизит количество ошибок, а следовательно, увеличит выход годных кристаллов.

EUV-литография в рамках Intel 4 будет применяться лишь для отдельных критически важных слоёв кристалла. Но даже на первом этапе её внедрение позволит на 20 % сократить количество используемых фотошаблонов и на 5 % — снизить количество технологических шагов. Для сравнения: без внедрения EUV-сканеров техпроцесс Intel 4 был бы примерно на 30 % сложнее и продолжительнее, нежели Intel 7.

Intel не планирует выпускать 32 нм четырехъядерники в будущем году

Увеличить интенсивность применения EUV-литографии для большего числа слоёв Intel планирует на следующем шаге, при переходе на технологию Intel 3. При этом она останется совместимой с Intel 4 на уровне библиотек, что позволит легко переносить производство чипов между этими техпроцессами.

Ещё одно важное улучшение, которое сделано в рамках Intel 4, касается изменения материала межсоединений на критических участках.

Новый материал Intel называет «усовершенствованной медью» — межсоединения выполнены из чистой меди, но с танталовым барьером и кобальтовым покрытием.

Такое сочетание материалов снижает сопротивление и электромиграцию в проводниках и гарантирует их службу более 10 лет без деградации под нагрузкой.

Intel не планирует выпускать 32 нм четырехъядерники в будущем году

Благодаря внедрению Intel 4, производитель рассчитывает довести плотность размещения транзисторов до 160 млн на мм2, что примерно на четверть выше плотности, обеспечиваемой техпроцессом TSMC N5, который будет использоваться, в частности, при выпуске AMD Zen 4.

При этом Intel обещает, что новая технология позволит нарастить частоты кристаллов на 21,5 % по сравнению с продуктами, выпущенными по процессу Intel 7, при сохранении того же уровня энергопотребления.

Или же снизить энергопотребление и тепловыделение на 40 % при сохранении неизменной частоты.

Intel не планирует выпускать 32 нм четырехъядерники в будущем году

Как следует из имеющейся информации, техпроцесс Intel 4 будет применяться в базовых «вычислительных» кристаллах Meteor Lake — процессорах, которые собираются из нескольких кристаллов с использованием технологии 3D-монтажа Foveros. Intel утверждает, что освоение Intel 4 идёт по плану, и первые образцы Meteor Lake уже не просто существуют, но и могут загружать ОС.

Intel не планирует выпускать 32 нм четырехъядерники в будущем году

Выход Meteor Lake запланирован на 2023 год, массовое производство чипов по техпроцессу Intel 4 сначала будет развёрнуто на площадке в Хиллсборо, а затем и в Ирландии.

Если вы заметили ошибку — выделите ее мышью и нажмите CTRL+ENTER.

Intel решила догнать и перегнать конкурентов, отказавшись от слова нанометр

Техника

27 Июля 2021 15:10 27 Июл 2021 15:10 |

Intel решила переименовать техпроцессы проивзодства чипов – старая схема, опирающаяся на физический размер транзистора, устарела и малоинформативна. Новая тоже не дает четкого представления об изделиях на ее основе, но демонстрирует, что технологическая отсталость чипмейкера от своих конкурентов не так уж велика – это важно в связи с грядущим выходом Intel на рынок контрактного производства. Intel не теряет надежд догнать и перегнать TSMC в течение четерых следующих лет. Для этого компания внедрит EUV, перейдет к полупроводниковым технологиям уровня ангстремов и начнет использовать инновационный GAA-транзистор, с разработкой которого ей, возможно, помогла IBM.

Intel представила дорожную карту развития технологий производства микропроцессоров до 2025 г.

Согласно анонсированному плану, корпорация изменит подход к именованию собственных технологических процессов, чтобы привести их в соответствие с принятыми в отрасли, в частности, компаниями TSMC и Samsung.

Теперь в названии техпроцесса не будут фигурировать какие-либо единицы измерения длины.

Вместо этого Intel будет обозначать техпроцесс, опираясь на соотношение между производительностью и энергопотреблением чипов на его базе.

Intel также представила новую архитектуру транзисторов RibbonFET – впервые за последние 10 лет, а также рассказала о технологии PowerVia, которая предлагает новый подход к подаче питания на транзисторы очень малого размера.

История и будущее инноваций в техпроцессах Intel

Кроме того, компания заявила о планах по внедрению фотолитографии в глубоком ультрафиолете (EUV) нового поколения (High-NA EUV). Intel рассчитывает завершить этот процесс первой в отрасли при помощи оборудования нидерландской ASML.

Чипмейкер рассчитывает догнать лидера отрасли TSMC к 2024 г. по показателю совершенства внедренного техпроцесса, а в 2025 г. и вовсе вернуть себе пальму первенства. Однако стоит иметь в виду, что Intel под этим понимает отношение производительности выпускаемых процессоров к потребляемой мощности, а не, например, применение самых компактных транзисторов в мире.

Новые названия техпроцессов

Итак, Intel отказывается от номенклатуры техпроцессов, которая включает слово «нанометры». 10-нанометровый процесс Enhanced SuperFin теперь называется Intel 7.

По данным корпорации, у Intel 7 показатель производительности на единицу потребляемой мощности на 10–15% выше в сравнении с предшественником – 10-нм SuperFin.

Intel 7 применяется при производстве новых процессоров семейств Alder Lake и Sapphire Rapids, которые предназначены для потребительского и серверного сегментов соответственно. Поставки чипов Alder Lake должны стартовать в 2021 г., Sapphire Rapids – в I квартале 2022 г.

Новая система именования техпроцессов Intel

Intel 4 (ранее Intel 7 нм) компания рассчитывает освоить ко второй половине 2022 г. Intel обещает прирост производительности на ватт на уровне по сравнению с предыдущим поколением на уровне 20%.

Переход на данный техпроцесс также ознаменует полную адаптацию Intel к применению технологии EUV. Именно отставание в ее освоении называют в качестве причин задержки компании с выпуском 10-нм чипов.

Технология Intel 4 будет применяться в настольных и мобильных процессорах Meteor Lake, а также серверных процессорах Granite Rapids, которые, как ожидается, появятся на рынке в 2023 г.

Техпроцесс Intel 3 (ранее Intel 7+ нм) должен обеспечить увеличить производительность на ватт примерно на 18% относительно предшественника. Процессоры на базе Intel 3 будут готовы к массовому производству во второй половине 2023 г.

Исторически в названии производственного процесса полупроводников фигурировало число, которое соответствовало длине затвора транзистора. Однако в 1994 г. производители перестали следовать этому правилу. До 2009 г.

длина затвора была меньше заявленного в названии значения, а затем «нанометры» взяли на вооружение маркетологи, из-за чего цифры в названии техпроцесса стали иметь мало общего с фактическими размерами транзисторов или плотностью их расположения в интегральной схеме.

Например, актуальный 10-нм процесс Intel (FinFET) по размеру и плотности размещения транзисторов примерно соответствует 7-нм процессу TSMC.

Решение отказаться от традиционного числового определения производственных норм в нанометрах, по всей видимости, связано с недавним решением Intel выйти на рынок контрактного производства.

Здесь американская корпорация будет напрямую конкурировать с гигантами вроде тайваньской TSMC и южнокорейской Samsung, поэтому пересмотр принципа именования производственных норм выглядит логичным – так заказчикам будет проще ориентироваться на рынке.

Ангстремная эра, транзисторы RibbonFET и технология PowerVia

Первая половина 2024 г., согласно планам Intel, ознаменуется наступлением эры ангстрема, в которой некоторые физические характеристики чипов можно будет выразить только в десятых долях нанометра – ангстремах (десятимиллиардных долях метра).

Первый техпроцесс Intel новой эры будет иметь обозначение 20A (A – angstrom, ангстрем), однако это не значит, что транзистор обязательно будет соответствующего размера.

Тем не менее, технология производства Intel 20A предусматривает использование новых транзисторов под названием RibbonFET.

Это первый транзистор Intel с кольцевым затвором (GAA, Gate-all-around) и первый транзистор новой архитектуры, выпущенный Intel с 2011 г.

RibbonFET отличается от используемых сейчас FinFET тем, что в первом каждый из четырех его каналов окружен затвором не с трех, а с четырех сторон. Такая конструкция, по оценке Intel, позволяет увеличить скорость переключения устройства при использовании такого же управляющего тока.

Схематичное изображение транзистора RibbonFET с кольцевым затвором

Читайте также:  Samsung работает над двойной камерой не для Galaxy S7

Кроме того, в техпроцессе Intel 20A чипмейкер планирует использовать технологию PowerVia – подачу питания с обратной стороны кристалла через межкремниевые соединения. Запуск Intel 20A ожидается в 2024 г. В частности, продукция Qualcomm будет выпускаться по техпроцессу Intel 20A, однако пока не известно, что это будут за чипы.

Илья Рогов, «Атомдата»: Нам удалось добиться самого низкого показателя PUE в России

Центры обработки данных Intel не планирует выпускать 32 нм четырехъядерники в будущем году

На начало 2025 г. намечено освоение техпроцесса Intel 18A. Именно тогда Intel рассчитывает внедрить EUV-литографию с высокой числовой апертурой (High-NA EUV).

Проблемы Intel и пути их решения

Intel испытала серьезные проблемы с внедрением 10-нанометрового техпроцесса, неоднократно откладывая его.

Сперва корпорация обещала начать выпуск 10-нанометровых чипов в 2015 г., после чего последовало несколько сообщений о задержке. В результате официальная дата выхода нового поколения микросхем была перенесена на 2017 г.

, но затем вновь сдвинута на второе полугодие 2018 г. В конце апреля 2018 г.

тогдашний глава компании Брайан Кржанич (Brian Krzanich), объявил о том, что Intel сможет запустить 10-нанометровые процессоры в массовое производство не ранее 2019 г.

В конце мая 2019 г. Intel официально представила свои первые массовые 10-нанометровые процессоры – чипы Ice Lake на базе новой архитектуры Sunny Cove, предназначенные для мобильных устройств.

UBA: Технологии предиктивной аналитики могут снизить нагрузку на ИБ

Защита данных Intel не планирует выпускать 32 нм четырехъядерники в будущем году

Пока Intel «покоряла» 10 нанометров и осуществляла реорганизацию производственного подразделения, его главный конкурент – компания AMD – успешно освоила нормы техпроцесса 7 нм.

В марте 2021 г. Intel анонсировала новую стратегию своего развития на ближайшие годы, получившую название IDM 2.0. Для ее реализации Intel вернула в штат нескольких ценных экс-сотрудников.

В рамках реализации стратегии чипмейкер планировал построить два новых завода за три года и $20 млрд, перейти на 7 нм в 2023 г. и стать партнером многих крупных производителей чипов, которые помогут ему в выпуске собственных процессоров.

В частности, Intel упоминала о партнерстве с IBM, которая в начале мая 2021 г. представила первый GAA-транзистор размером 2 нм и тестовый чип на его основе. Tom’s Hardware предполагает, что IBM могла оказать Intel помощь в разработке фирменных транзисторов RibboFET.

В начале июля 2021 г. CNews писал о том, что, по некоторым данным, Intel выпустит свой первый 3-нанометровый процессор в начале 2023 г., причем сделает это в сотрудничестве с TSMC. Также в июле 2021 г.

сообщалось о возможном приобретении Intel компании GlobalFoundries, входящую в тройку крупнейших мировых производителей полупроводников, за $30 млрд.

Однако позже представители последней опровергли информацию о будущей сделке.

  • Саммит дизайн-центров электроники

Дмитрий Степанов

Подписаться на новости Короткая ссылка

Что год грядущий нам готовит? 32 нм!

Прошедший 2007 год стал дважды знаменательным для полупроводниковой промышленности. Во-первых, благодарное человечество отметило знаменательную дату – 60-ти летие открытия транзистора, положившее начало современной компьютерной техники1. Во-вторых, компания Intel совершила истинный прорыв в конструировании и технологии транзисторов, фактически создав новую технологию.

Загвоздка традиционной кремниевой технологии возникла при достижении 45-нм минимального топологического размера элементов и пропорционального уменьшения толщины подзатворного диэлектрика (SiO2) до 2 нм. При этом токи утечки оказались неприемлемыми.

Более 600 исследователей Intel работали над преодолением ситуации в течение нескольких лет с невероятным напряжением сил и энтузиазмом.

Как заявил руководитель проекта «45 нм» Kaizad Mistry – «Yeah, it was hard work, there were many sleepless nights, long days, hours for many people» – «Ох! Это была тяжелая работа со многими бессонными ночами, нескончаемыми днями и часами для многих людей».

Было перебрано множество новых материалов для изолятора с более высокой диэлектрической постоянной «high-k» и для проводящих слоев, в частности, отдельных независимых металлов с оптимальной работой выхода для затворов PMOS и NMOS транзисторов. В январе 2007г.

Intel анонсировала завершение разработки, продемонстрировав статическую оперативную память (SRAM), а в ноябре приступив к серийному выпуску и поставкам новых процессоров семейства Penryn.

Фактически Intel выиграла жесточайшую битву, вновь доказав свое превосходство в процессорах.

На прошедшей (10–12 декабря с.г.) в Вашингтоне конференции International Electron Device Meeting (IEDM’2007) Intel раскрыла некоторые детали разработки.

Intel не планирует выпускать 32 нм четырехъядерники в будущем году

Транзистор имеет общую физическую длину затвора 35 нм, 1.0-нм эффективную толщину подзатворного «high-k» диэлектрика, напряженные слои кремния за счет введения SiGe островков и 9-ти слойные медные межсоединения. Используется «сухая» 193-нм литография. Точный состав диэлектрических и проводящих материалов компания пока не раскрывает.

Сегодня о разной степени готовности 45-нм технологии заявляют и основные конкуренты Intel:

  • **Advanced Micro Devices Inc. (AMD)* планирует приступить к производству 45-нм чипов в первой половине 2008г. и начать поставки процессоров на их основе во второй половине 2008г.;
  • Toshiba Corp. и NEC Electronics занимались разработкой совместно, в результате запущена массовая NAND флэш-память;
  • Toshiba совместно с Sony планируют перевести на 45 нм процессор «Cell» к концу 2008г.;
  • NEC планирует приступить к массовому производству 45-нм динамической оперативной памяти (DRAM) на 300 мм подложках к марту 2009г.;
  • Компания Ситроникс (Зеленоград) приступит к разработке 65– и 45-нм чипов на 300 мм подложках с января 2008 г. и планирует начать их массовое производство с октября 2009г. (компания получила от МЭРТ 2.3 млрд. долл. на строительство фабрики по их производству; сегодняшний уровень Ситроникс – запуск 180-нм технологического процесса для смарт-карт в кооперации с компанией STMicroelectronics с планируемым их массовым производством к концу 2008г.)

Очевидно, в 2008г. конкуренция за преодоление 32-нм барьера станет еще более жесткой. Достижение 32-нм – не самоцель. «32 нм» позволит вдвое увеличить число транзисторов на чипе и снизить потребляемую мощность на 45%, (в сравнении с «45 нм»). Отсюда снижение стоимости устройств, дальнейшее совершенствование мобильных устройств.

Intel уже заявила о разработке 32-нм технологического процесса, изготовив 291 Мб статическую оперативную память (SRAM) с размером ячейки 0.18 мкм2. Чип содержит 1.9 млрд. транзисторов.

IBM, еще не объявив о завершении 45-нм разработки, очевидно, решила дать бой компании Intel на 32-нм поле. Для ускорения работ создан альянс «IBM и партнеры» (другое название – Fishkill alliance, по месту проведения совместных работ в исследовательском центре IBM во Fishkill (N.Y.)).

В альянс входят AMD, США; Chartered Semiconductor Manufacturing, Сингапур; Freescale Semiconductor, США; Infineon Technologies, Германия; Samsung, Ю.Корея; недавно присоединившаяся STMicro-electronics, Швейцария.

По планам альянса технология «high-k/металлический затвор» с минимальным топологическим размером 32 нм будет готова во второй половине 2009 г. На IEDM’2007 альянс продемонстрировал в рамках 32-нм технологии 1.5 Мб статическую оперативную память (SRAM) с площадью ячейки менее 0.15 мкм2.

Они также ввели материалы с высоким k в 32-нм технологию кремния-на-изоляторе (SOI).

Гигантская кремниевая компания Taiwan Semiconductor Manufacturing Co. Ltd. (TSMC) на IEDM’2007 представила информацию об испытании полностью функционирующего прототипа 2 Мб SRAM чипа, изготовленного по 32-нм технологии (компания не использует материалы с высоким k).

AMD планирует начать производство своих 32-нм чипов с использованием материалов с высоким k в 2010 г. В настоящее время 70 инженеров компании сосредоточились на разработке технологии материалов с высоким k для 45– и 32-нм процессоров.

Судя по данным, представленным на IEDM’2007, лидеры (Intel, «IBM и партнеры», TSMC) наступают на пятки друг другу. Но, как отметил К.

Mistry (вице-президент Intel), «период от сообщения о разработке SRAM на IEDM до серийного продукта не менее 2 лет и, как правило, размер серийной ячейки оказывается значительно большим».

Он же уверяет, что 32-нм SRAM, заявленная Intel, это – не экспериментальная память, а законченная разработка.

Однако успех 32-нм технологии определят не только интеллектуальные усилия ученых. Не менее важным станет способность компаний вложить в разработку процесса значительные инвестиции.

За последнее десятилетие стоимость строительства современной полупроводниковой фабрики выросла на 250%, а стоимость разработки современного микропроцессора возросла на 400%.

Срабатывает правило – чем меньше, тем больше.

Стоимость разработки 32-нм технологического процесса оценивается аналитиками компании Gartner в 3 млрд. долл. (что в 2 раза выше стоимости разработки 65-нм технологии), а стоимость фабрики по выпуску 32-нм чипов оценивается в 3.5 млрд. долл.

Вот несколько цифр, отражающих финансовую ситуацию в преуспевающей современной полупроводниковой компании, способной выиграть конкуренцию за 32 нм: в 2006 г. доход Intel составил 35.4 млрд. долл., компания потратила на исследования и разработки 5.9 млрд. долл.

На фоне таких фантастических сумм становится объяснимым стремление злейших конкурентов к консолидации и сотрудничеству – совместные исследования и разработки; создание совместных текущих производств.

Intel не планирует выпускать 32 нм четырехъядерники в будущем году

В рамках новых технологических достижений (high-k, металлический затвор, напряженные слои кремния, иммерсионная 193-нм литография, кластерная имплантация, бездиффузионный высокотемпературный миллисекундный отжиг) 45-нм технология может быть традиционно масштабирована к размерам 32– 22– и даже 11 нм. Этот путь потребует все более гигантских финансовых вложений. Посему не менее интенсивно в ведущих компаниях ведутся исследования технологических вариантов «down-top». Но нужно признать, что здесь конец пути еще за горизонтом.

Читайте также:  Видеоускоритель abit siluro fx5900 otes 128 mb: тише-тише, nv35 под крышей...

В ряде докладов на IEDM’2007 обсуждались результаты исследований квантовых наноструктур на гетеропереходах с квантовыми ямами и квантовыми точками и приборов на их основе, таких как лазеры, однофотонные эмиттеры, фотодетекторы. Их ближайшая перспектива – устройства отображения, биомедицина и газовые датчики.

На стадии глубокого исследования полевые транзисторы на квантовых проволочках и квантовых точках. Они найдут применение в следующих поколениях электроники.

Обсуждался также вопрос о том, что «за пределами кремния» – германий, А3В5, углеродные нанотрубки, графен или другие молекулярные структуры? Итог панельной дискуссии на эту тему подвел Dimitri Antoniadis (профессор Массачусетского технологического института) следующей фразой – «Of course, declaring silicon dead is premature at best, so the timing of transitions will be dictated by the limits of our collective ingenuity in stretching the legs of the old warhorse»(не дословно это может прозвучать так: «Конечно, декларировать смерть кремния, по меньшей мере, преждевременно, переход к другим материалам будет диктоваться нашей коллективной изобретательностью в попытках оттянуть время, когда эта боевая лошадка протянет ноги». Эта точка зрения близка к «После кремния будет снова кремний» (академик К.А. Валиев в одном из давних интервью).

1

Материал подготовлен на основе ноябрьских и декабрьских сообщений сайтов http://www.eetimes.com/ и http://www.semiconductor.net/

Пожалуйста, оцените статью:

Overclockers.ru: Все будущие процессоры Intel до 2026 года — в одной таблице

Отныне – только гибридная архитектура.

анонсы и реклама

Немецкий ресурс 3DCenter регулярно демонстрирует склонность к анализу и систематизации имеющейся неофициальной информации о планах производителей компонентов, поэтому периодически на его страницах появляются содержательные таблицы. Так произошло и в случае с процессорными планами Intel в потребительском сегменте на ближайшие несколько лет.

Intel не планирует выпускать 32 нм четырехъядерники в будущем году

Источник изображения: 3DCenter

Процессоры Meteor Lake, например, появятся ориентировочно в первой половине 2023 года, будут выпускаться в исполнении LGA 1700 с использованием так называемого техпроцесса Intel 4, который ранее именовался 7-нм.

Архитектурно по сравнению с предшественниками продвинутся только производительные ядра серии P, тогда как экономичные сохранят приверженность архитектуре Gracemont.

Зато встроенная графика будет усовершенствована до версии Gen 12.7.

Процессорам Arrow Lake, которые могут появиться в 2023 или 2024 году, слухи приписывают не только сочетание 8 производительных ядер Lion Cove с 32 экономичными ядрами Skymont, но и 3-нм технологию изготовления в исполнении TSMC. По крайней мере, один из кристаллов для этих процессоров Intel своими силами производить не будет.

анонсы и реклама

3060 за копейки в Регарде, смотри

3070 дешевле 50 тр в Регарде

Пиши на наш сайт и зарабатывай

3050 Gigabyte Gaming за 30 тр — успей

Рухнула цена i9 12900K — смотри

RTX 3060 Ti за 50 тр

3050 дешевле 30 тр в Регарде

3070 Gigabyte за 55тр в Регарде

В ДВА раза снижена цена RX 6600

RX 6600 за 30тр в Регарде

3060 Gigabyte Gaming дешевле 50тр

3070 Ti Gigabyte Gaming дешевле 70тр

6900XT Gigabyte Aorus за 85тр

Radeon PRO 32Gb за 200 тр — смотри

3080 Ti Gigabyte Gaming дешевле 90 тр

Компьютеры за 10 тр в Ситилинке

3070 Ti Gigabyte Gaming дешевле 70 тр

Лишь преемники в лице Lunar Lake в 2024 или 2025 году переедут на конвейер Intel, предлагая условный аналог 3-нм техпроцесса TSMC. По всей видимости, они получат графику поколения Gen 13, но сохранят прежнее сочетание архитектур.

Наконец, к середине десятилетия Intel выпустит по собственной технологии 20A процессоры семейства Nova Lake, которые предложат новое сочетание архитектур – Panther Cove плюс Darkmont. Будут ли они поддерживать исключительно DDR5, пока прогнозировать сложно.

Не определена и конфигурация вычислительных ядер, что справедливо и для Lunar Lake.

28 нм процессоры к 2030 году и импортозамещение: детали нацпроекта в области электроники — Железо на DTF

В текущем виде на его реализацию рассчитывают потратить 3,19 триллиона рублей.

{«id»:1161882,»gtm»:null}

Правительство РФ подготовило презентацию предварительной версии национального проекта в области электроники, разработкой которого власти занимались с начала февраля 2022 года. Его реализацию планируют проводить по четырём направлениям: инфраструктура, спрос, продукт, кадры. Издание «Коммерсантъ» ознакомилось с документом и поделилось подробностями.

  • В 2022 году в России в производство запустят микроэлектронику по техпроцессу 90 нм. Ведущие вендоры освоили его в 2004 году, отметили в «Ъ».
  • К 2030-му планируется выйти на серийный выпуск 28-нанометровых процессоров. Ведущие чипмейкеры начали производство по этому техпроцессу в 2010 году. Среди прочего, Apple оснащала смартфоны iPhone 5S процессорами A7 с топологией 28 нм.
  • Правительство рассчитывает увеличить количество отечественных дизайн-центров с 70 до 300 к концу десятилетия.
  • На перечисленные цели выделят 460 миллиардов рублей до 2030 года.
  • Кураторами направления станут вице-премьер Юрий Борисов и замглавы Минпромторга Василий Шпак.
  • В рамках направления власти планируют стимулировать закупку произведённой в России электроники через сквозные проекты, которые гарантируют спрос от ведущих корпораций.
  • Государство выделит до 50% от суммы таких контрактов в качестве субсидий.
  • За счёт этих мер планируется, что 30% домохозяйств в России будут использовать преимущественно российскую электронику к 2030 году. При этом доля отечественной продукции на рынке госзакупок должна вырасти до 100%.
  • Объём финансирования составит 1,28 триллиона рублей.
  • Реализацию направления будут курировать вице-премьер Дмитрий Чернышенко и замглавы Минцифры Андрей Заренин.
  • В 2022 году правительство запустит программу по реинжинирингу зарубежных решений и переносу их производства в РФ и Китай, а также программу развития электронного машиностроения.
  • К 2024-му правительство рассчитывает обеспечить 100% импортозамещения по всем направлениям.
  • К концу десятилетия планируется «завершить формирование продуктового портфеля российских технологий».
  • На цели этого направления выделят 1,14 триллиона рублей.
  • Кураторами выступят Юрий Борисов и Василий Шпак.
  • К 2030 году в России планируют создать 400 прототипов электроники.
  • Направление предполагает провести две тысячи научно-исследовательских работ в течение восьми лет.
  • В правительстве рассчитывают увеличить кадровую конверсию выпускников вузов с 5% до 35%.
  • Власти хотят создать на базе учебных дизайн-центров не менее 1000 проектных команд.
  • На это направление потребуется 309 миллиардов рублей.
  • Кураторами проекта выступят Дмитрий Чернышенко и замглавы Минобрнауки Алексей Медведев.
  • Сотрудник одной из ведущих российских IT-компаний заявил, что «большая часть предложений выглядит далёкой от реальности».

Они хотят к 2030 году увеличить число дизайн-центров до 300. В каждом должно работать как минимум 100 профильных специалистов, то есть речь идёт о 30-50 тысячах человек. С учётом того, что цикл подготовки профильного специалиста составляет как минимум восемь-девять лет, эти 50 тысяч человек уже сейчас должны поступать в вузы.

собеседник «Ъ»

  • Директор «Базиса» Арсений Брыкин заявил, что переход от 90 к 28 нм техпроцессу в указанный период — «крайне амбициозная» задача. По его словам, за восемь лет получится создать производство электроники по топологии 28 нм только в случае, если получить доступ к необходимому оборудованию.

Если будет фабрика, то дизайн-центры будут работать на развитие российских кооперационных цепочек. Без неё процессоры будут выпускать на зарубежных фаундри, по сути финансируя их за счет государства.

Арсений Брыкин

Нацпроект подготовили по итогам работы 22 профильных рабочих групп. Его финальную версию направят на утверждение премьеру Михаилу Мишустину 22 апреля. В презентации указывается, что объём финансирования нацпроекта составит 3,19 триллиона рублей. Однако в аппарате вице-премьера Дмитрия Чернышенко заявили, что эта сумма «не соответствует действительности».

{«contentId»:1161882,»count»:2,»isReposted»:false,»gtm»:null}

{«id»:1161882,»gtm»:null}

Потеря $42 млрд капитализации, технологическое отставание и реструктуризация: что происходит с Intel — Техника на vc.ru

Из-за проблем с производством 7-нанометровых процессоров Intel может на несколько лет уступить технологическое лидерство TSMC, а AMD ещё быстрее продолжит отвоёвывать рынок у своего давнего конкурента.

{«id»:146059,»gtm»:null}

23 июля гендиректор Intel Боб Свон во время объявления финансовых результатов за квартал рассказал, что компания не сможет перейти на производство процессоров по 7-нанометровому техпроцессу в 2021 году, как обещала ранее, — из-за высокого уровня брака.

Кроме того, Intel впервые за свою историю может передать выпуск процессоров партнёрам, а также на некоторое время сократить объёмы производства.

Из-за проблем с разработкой процессоров на новых техпроцессах Intel постепенно теряет позиции лидера на рынке — её место заняла TSMC, которая выпускает чипы для AMD, Apple, Amazon и Nvidia, отмечает Financial Times.

«Классический» соперник Intel — компания AMD — уже три года подряд стабильно наращивает долю на рынке мобильных, настольных и даже серверных процессоров, чего не было с 2013 года. Проблемы Intel только улучшат положение AMD.

Читайте также:  Новые SSD в серии Intel X-25 E получат кодовое имя Lyndonville и емкость до 400 Гб

После заявлений главы Intel акции компании упали на 18%, её капитализация снизилась на $41,72 млрд до $205 млрд. Акции AMD выросли на 17% и превысили стоимость акций Intel ($64 против $54). Капитализация AMD — $90 млрд.

Новости об Intel не закончились: компания начала масштабную реструктуризацию, профильные СМИ заявляют, что Intel частично передаст производство новых чипов TSMC или Samsung, чтобы сократить отставание.

Что происходит с производством процессоров Intel, почему компания уступает AMD и как собирается решать проблемы — коротко.

Переход на 7 нм техпроцесс позволит размещать в чипе больше транзисторов, сделав их меньше (с 10 нм до 7 нм). Его внедрение позволит сделать чипы энергоэффективнее и производительнее.

В 2019 году Intel заявляла, что перейдёт на 7-нанометровый техпроцесс в 2021 году, но из-за высокого уровня брака компании пришлось вновь задержать выпуск — ещё на 6 месяцев. Теперь отставание от внутренней дорожной карты Intel выросло до года.

Intel планировала быстро перейти на 7 нм, так как испытывает проблемы и с производством чипов на 10 нм — часть процессоров Intel выпускает на 14-нанометровом техпроцессе. Теперь же аналитики считают, что компании необходимо сразу переключаться на 5 нм, чтобы сократить технологическое отставание.

Характеристики основных серий процессоров Intel

Первым 7-нанометровым чипом Intel станет графический процессор Ponte Vecchio для высокопроизводительных вычислений — он выйдет не раньше конца 2021 года или начала 2022 года.

Первые настольные 7-нм процессоры Intel выйдут в конце 2022 года или начале 2023 года, а серверные — не раньше первой половины 2023 года.

Основной конкурент Intel — компания AMD — уже освоила 7 нм во всех сегментах (серверные, настольные и мобильные чипы), а в 2021 году планирует представить первые 5-нм серверные процессоры. Также AMD производит чипы для игровых консолей Microsoft и Sony.

В 2009 году AMD выделила производственное подразделение в независимую компанию Global Foundries и начала заключать контракты с внешними поставщиками.

Среди её основных партнёров — крупнейший производитель чипов TSMC, который также занимается выпуском процессоров для Apple и Nvidia. Как и AMD, эти компании занимаются разработкой собственных чипов, а TSMC просто делает их.

Первый 7-нанометровый чип компания выпустила в 2018 году — им стал A12 Bionic для iPhone Xs. В 2021 году TSMC планирует выпускать 5-нанометровые чипы, в 2023 году может начать освоение 2 нм.

При этом AMD продолжает увеличивать свою зависимость от партнёра: TSMC приносит около половины выручки AMD. Но после 2021 года TSMC может даже нарастить производство чипов для AMD, поскольку технологии GlobalFoundries ограничены 12-нанометрами, пишут аналитики Wedbush Securities.

После выхода первого поколения процессоров на архитектуре Zen в 2017 году AMD стабильно наращивает долю рынка — впервые с 2006 года. Во многом Zen стал успешным благодаря хорошим показателям производительности и меньшему энергопотреблению, отмечает Bloomberg.

Кроме того, продукция AMD зачастую стоит дешевле, чем Intel, пишет TechRadar.

В последнем финансовом отчете 28 июля 2020 года глава AMD Лиза Су заявила, что компания увеличивает свою долю на рынке процессоров 11 кварталов (33 месяца) подряд.

Например, по данным исследовательской фирмы Mercury Research, доля AMD на рынке настольных ПК к концу 2019 года выросла до 18% (удвоение за пять лет), в мобильных устройствах — 15,5% (максимум с 2013 года), а на рынке серверных процессоров — 4,5%.

По словам Лизы Су, к концу второго квартала 2020 года на рынке серверов AMD преодолела показатель в 10%, а общий рост доходов компании в 2020 году составит 32%.

После публикации квартального отчёта 28 июля, акции AMD до открытия сессии выросли ещё на 10% — до $75. Акции Intel к этому времени подешевели до $49,42.

Одна из причин отставания Intel — разница в бизнес-моделях с другими производителями чипов. На протяжении более 50 лет Intel разрабатывала чипы и производила их на собственных заводах, что позволяло ей оставаться лидером рынка, пока технологии компании развивались быстрее, чем у конкурентов.

Но из-за проблем с собственным производством 10-нанометровых, а теперь и 7-нанометровых чипов, Intel уступила TSMC многолетнее лидерство и статус главного производителя чипов, отмечает Financial Times.

В июне 2020 года Apple анонсировала постепенный отказ от процессоров Intel в пользу собственных разработок — как раз из-за слишком медленного роста производительности чипов партнёра.

Так как разрыв между поколениями технологий в процессорах обычно составляет от двух до двух с половиной лет, Intel может отстать от TSMC и других компаний больше чем на четыре года, считает аналитик BMO Capital Амбриш Шривастава.

В июне 2020-го, спустя пару лет работы, из Intel уволился ведущий разработчик процессорных архитектур Джим Келлер, который должен был улучшить процессы разработки аппаратного обеспечения и заняться стратегией развития Intel на рынке процессоров.

Он известен за создание успешной архитектуры AMD Zen, благодаря запуску которой AMD начала восстанавливаться на рынке процессоров. Также Келлер участвовал в разработке процессоров Apple A4 и A5, а также архитектуры AMD K8.

В Intel Келлер исправлял ошибки компании при работе над 10-нм техпроцессом и работал над новой архитектурой.

ИТ-журналист и инсайдер Чарли Демерджян отмечает, что уход Келлера был вынужденным — он предлагал руководству Intel воспользоваться услугами TSMC для быстрого перехода к новым техпроцессам, но получил отказ, а затем вступил в конфликт с менеджерами и был уволен.

Также Демерджян рассказал, что в 7-нанометровых серверных процессорах Intel Ice Lake-SP была обнаружена проблема, связанная с информационной безопасностью. Для её решения пришлось отложить как Ice Lake-SP (до 2023 года), так и 10-нанометровые чипы Shapphire Rapids (до 2022 года).

Всё это приведёт к ещё большему отставанию Intel от AMD, которая продолжает совершенствовать чипы на более современных техпроцессах с помощью подрядчиков, считает инсайдер.

27 июля гендиректор Intel Боб Свон признал, что вина за проблемы с переходом на 7-нм технологию лежит на нём и объявил о реструктуризации производственного подразделения Technology, Systems Architecture and Client Group, чтобы улучшить положение с освоением новых техпроцессов.

Главу подразделения Венката Рендучинтала, который занимался инженерными разработками и освоением техпроцессов, уволили. Отдел разделят на пять подразделений, все будут подчиняться напрямую Свону.

Отдел разработки технологий возглавит Энн Келлехер, которая руководила производственным подразделением Intel и отвечала за наращивание производства 10-нм чипов. Теперь она займётся разработкой 7-нм и 5-нм техпроцессов.

Четыре других подразделения будут заниматься производством и операционной деятельностью, инженерными разработками, архитектурой, ПО и графическими чипами и комплектацией.

Если раньше Intel частично отдавала TSMC только второстепенные чипы, не связанные с производством процессоров (например, графику или системную логику), то теперь глава Intel Боб Свон заявил, что в случае «непредвиденных обстоятельств» допускает перенос производства процессоров на аутсорс.

Официально Intel пока не объявляла о планах передать производство процессоров подрядчикам, но индустриальные СМИ и инвесторы считают, что это логичный шаг и лишь вопрос времени. На фоне новостей об Intel акции TSMC выросли на 10%, а её стоимость — на $35 млрд, компания заняла 12 место в мире по рыночной капитализации, обогнав Nestle и Walmart.

27 июля Bloomberg и издание Commercial Times рассказали, что Intel на 2021 год заказала у TSMC 180 тысяч чипов на базе 6-нм техпроцесса, который по нормам полностью совместим с 7-нм техпроцессом. Они посчитали, что это может быть связано с заявлением Свона.

Однако 28 июля Digitimes опровергло слухи: по словам издания, TSMC не планирует расширять производственные мощности, а заказы Intel связаны с графическими чипами и системной логикой, которые компания рассматривает как временную работу.

Intel же потратила «достаточно средств и ресурсов», чтобы не отказываться от своих разработок и мощностей, и надеется наверстать потери. Более того, о намерениях Intel сохранить независимость говорят и названные сроки — если бы компания планировала передать производство на аутсорс, новые линейки процессоров вышли бы раньше 2022–2023 года.

Ещё один вариант для Intel — сотрудничество с Samsung вместо TSMC, которой хватает заказов от других компаний и у неё нет возможности расширять производство ради Intel, считают эксперты Nomura.

У Samsung также есть условия для производства 5-нм чипов, но мощностей пока не хватает, чтобы полностью удовлетворить спрос Intel, отмечает Reuters.

На фоне обсуждений акции Samsung выросли на 5,8% и достигли пятимесячного максимума.

#intel #amd #процессоры #tsmc

Ссылка на основную публикацию
Adblock
detector